Wavelet.cpp
Go to the documentation of this file.
1 /* -*- c-basic-offset: 4 indent-tabs-mode: nil -*- vi:set ts=8 sts=4 sw=4: */
2 
3 /*
4  QM DSP Library
5 
6  Centre for Digital Music, Queen Mary, University of London.
7  This file copyright 2009 Thomas Wilmering.
8 
9  This program is free software; you can redistribute it and/or
10  modify it under the terms of the GNU General Public License as
11  published by the Free Software Foundation; either version 2 of the
12  License, or (at your option) any later version. See the file
13  COPYING included with this distribution for more information.
14 */
15 
16 #include "Wavelet.h"
17 
18 #include <cassert>
19 
20 std::string
22 {
23  switch (wavelet) {
24  case Haar: return "Haar";
25  case Daubechies_2: return "Daubechies 2";
26  case Daubechies_3: return "Daubechies 3";
27  case Daubechies_4: return "Daubechies 4";
28  case Daubechies_5: return "Daubechies 5";
29  case Daubechies_6: return "Daubechies 6";
30  case Daubechies_7: return "Daubechies 7";
31  case Daubechies_8: return "Daubechies 8";
32  case Daubechies_9: return "Daubechies 9";
33  case Daubechies_10: return "Daubechies 10";
34  case Daubechies_20: return "Daubechies 20";
35  case Daubechies_40: return "Daubechies 40";
36  case Symlet_2: return "Symlet 2";
37  case Symlet_3: return "Symlet 3";
38  case Symlet_4: return "Symlet 4";
39  case Symlet_5: return "Symlet 5";
40  case Symlet_6: return "Symlet 6";
41  case Symlet_7: return "Symlet 7";
42  case Symlet_8: return "Symlet 8";
43  case Symlet_9: return "Symlet 9";
44  case Symlet_10: return "Symlet 10";
45  case Symlet_20: return "Symlet 20";
46  case Symlet_30: return "Symlet 30";
47  case Coiflet_1: return "Coiflet 1";
48  case Coiflet_2: return "Coiflet 2";
49  case Coiflet_3: return "Coiflet 3";
50  case Coiflet_4: return "Coiflet 4";
51  case Coiflet_5: return "Coiflet 5";
52  case Biorthogonal_1_3: return "Biorthogonal 1.3";
53  case Biorthogonal_1_5: return "Biorthogonal 1.5";
54  case Biorthogonal_2_2: return "Biorthogonal 2.2";
55  case Biorthogonal_2_4: return "Biorthogonal 2.4";
56  case Biorthogonal_2_6: return "Biorthogonal 2.6";
57  case Biorthogonal_2_8: return "Biorthogonal 2.8";
58  case Biorthogonal_3_1: return "Biorthogonal 3.1";
59  case Biorthogonal_3_3: return "Biorthogonal 3.3";
60  case Biorthogonal_3_5: return "Biorthogonal 3.5";
61  case Biorthogonal_3_7: return "Biorthogonal 3.7";
62  case Biorthogonal_3_9: return "Biorthogonal 3.9";
63  case Biorthogonal_4_4: return "Biorthogonal 4.4";
64  case Biorthogonal_5_5: return "Biorthogonal 5.5";
65  case Biorthogonal_6_8: return "Biorthogonal 6.8";
66  case Meyer: return "Meyer";
67  }
68 
69  return "(unknown)";
70 }
71 
72 void
74  std::vector<double> &lpd,
75  std::vector<double> &hpd)
76 {
77  lpd.clear();
78  hpd.clear();
79 
80  int flength = 0;
81 
82  switch (wavelet) {
83 
84  case Haar:
85  lpd.push_back(0.70710678118655);
86  lpd.push_back(0.70710678118655);
87  hpd.push_back(-0.70710678118655);
88  hpd.push_back(0.70710678118655);
89  flength = 2;
90  break;
91 
92  case Daubechies_2:
93  lpd.push_back(-0.12940952255092);
94  lpd.push_back(0.22414386804186);
95  lpd.push_back(0.83651630373747);
96  lpd.push_back(0.48296291314469);
97  hpd.push_back(-0.48296291314469);
98  hpd.push_back(0.83651630373747);
99  hpd.push_back(-0.22414386804186);
100  hpd.push_back(-0.12940952255092);
101  flength = 4;
102  break;
103 
104  case Daubechies_3:
105  lpd.push_back(0.03522629188210);
106  lpd.push_back(-0.08544127388224);
107  lpd.push_back(-0.13501102001039);
108  lpd.push_back(0.45987750211933);
109  lpd.push_back(0.80689150931334);
110  lpd.push_back(0.33267055295096);
111  hpd.push_back(-0.33267055295096);
112  hpd.push_back(0.80689150931334);
113  hpd.push_back(-0.45987750211933);
114  hpd.push_back(-0.13501102001039);
115  hpd.push_back(0.08544127388224);
116  hpd.push_back(0.03522629188210);
117  flength = 6;
118  break;
119 
120  case Daubechies_4:
121  lpd.push_back(-0.01059740178500);
122  lpd.push_back(0.03288301166698);
123  lpd.push_back(0.03084138183599);
124  lpd.push_back(-0.18703481171888);
125  lpd.push_back(-0.02798376941698);
126  lpd.push_back(0.63088076792959);
127  lpd.push_back(0.71484657055254);
128  lpd.push_back(0.23037781330886);
129  hpd.push_back(-0.23037781330886);
130  hpd.push_back(0.71484657055254);
131  hpd.push_back(-0.63088076792959);
132  hpd.push_back(-0.02798376941698);
133  hpd.push_back(0.18703481171888);
134  hpd.push_back(0.03084138183599);
135  hpd.push_back(-0.03288301166698);
136  hpd.push_back(-0.01059740178500);
137  flength = 8;
138  break;
139 
140  case Daubechies_5:
141  lpd.push_back(0.00333572528500);
142  lpd.push_back(-0.01258075199902);
143  lpd.push_back(-0.00624149021301);
144  lpd.push_back(0.07757149384007);
145  lpd.push_back(-0.03224486958503);
146  lpd.push_back(-0.24229488706619);
147  lpd.push_back(0.13842814590110);
148  lpd.push_back(0.72430852843857);
149  lpd.push_back(0.60382926979747);
150  lpd.push_back(0.16010239797413);
151  hpd.push_back(-0.16010239797413);
152  hpd.push_back(0.60382926979747);
153  hpd.push_back(-0.72430852843857);
154  hpd.push_back(0.13842814590110);
155  hpd.push_back(0.24229488706619);
156  hpd.push_back(-0.03224486958503);
157  hpd.push_back(-0.07757149384007);
158  hpd.push_back(-0.00624149021301);
159  hpd.push_back(0.01258075199902);
160  hpd.push_back(0.00333572528500);
161  flength = 10;
162  break;
163 
164  case Daubechies_6:
165  lpd.push_back(-0.00107730108500);
166  lpd.push_back(0.00477725751101);
167  lpd.push_back(0.00055384220099);
168  lpd.push_back(-0.03158203931803);
169  lpd.push_back(0.02752286553002);
170  lpd.push_back(0.09750160558708);
171  lpd.push_back(-0.12976686756710);
172  lpd.push_back(-0.22626469396517);
173  lpd.push_back(0.31525035170924);
174  lpd.push_back(0.75113390802158);
175  lpd.push_back(0.49462389039839);
176  lpd.push_back(0.11154074335008);
177  hpd.push_back(-0.11154074335008);
178  hpd.push_back(0.49462389039839);
179  hpd.push_back(-0.75113390802158);
180  hpd.push_back(0.31525035170924);
181  hpd.push_back(0.22626469396517);
182  hpd.push_back(-0.12976686756710);
183  hpd.push_back(-0.09750160558708);
184  hpd.push_back(0.02752286553002);
185  hpd.push_back(0.03158203931803);
186  hpd.push_back(0.00055384220099);
187  hpd.push_back(-0.00477725751101);
188  hpd.push_back(-0.00107730108500);
189  flength = 12;
190  break;
191 
192  case Daubechies_7:
193  lpd.push_back(0.00035371380000);
194  lpd.push_back(-0.00180164070400);
195  lpd.push_back(0.00042957797300);
196  lpd.push_back(0.01255099855601);
197  lpd.push_back(-0.01657454163102);
198  lpd.push_back(-0.03802993693503);
199  lpd.push_back(0.08061260915107);
200  lpd.push_back(0.07130921926705);
201  lpd.push_back(-0.22403618499417);
202  lpd.push_back(-0.14390600392911);
203  lpd.push_back(0.46978228740536);
204  lpd.push_back(0.72913209084656);
205  lpd.push_back(0.39653931948231);
206  lpd.push_back(0.07785205408506);
207  hpd.push_back(-0.07785205408506);
208  hpd.push_back(0.39653931948231);
209  hpd.push_back(-0.72913209084656);
210  hpd.push_back(0.46978228740536);
211  hpd.push_back(0.14390600392911);
212  hpd.push_back(-0.22403618499417);
213  hpd.push_back(-0.07130921926705);
214  hpd.push_back(0.08061260915107);
215  hpd.push_back(0.03802993693503);
216  hpd.push_back(-0.01657454163102);
217  hpd.push_back(-0.01255099855601);
218  hpd.push_back(0.00042957797300);
219  hpd.push_back(0.00180164070400);
220  hpd.push_back(0.00035371380000);
221  flength = 14;
222  break;
223 
224  case Daubechies_8:
225  lpd.push_back(-0.00011747678400);
226  lpd.push_back(0.00067544940600);
227  lpd.push_back(-0.00039174037300);
228  lpd.push_back(-0.00487035299301);
229  lpd.push_back(0.00874609404702);
230  lpd.push_back(0.01398102791702);
231  lpd.push_back(-0.04408825393106);
232  lpd.push_back(-0.01736930100202);
233  lpd.push_back(0.12874742662019);
234  lpd.push_back(0.00047248457400);
235  lpd.push_back(-0.28401554296243);
236  lpd.push_back(-0.01582910525602);
237  lpd.push_back(0.58535468365487);
238  lpd.push_back(0.67563073629801);
239  lpd.push_back(0.31287159091447);
240  lpd.push_back(0.05441584224308);
241  hpd.push_back(-0.05441584224308);
242  hpd.push_back(0.31287159091447);
243  hpd.push_back(-0.67563073629801);
244  hpd.push_back(0.58535468365487);
245  hpd.push_back(0.01582910525602);
246  hpd.push_back(-0.28401554296243);
247  hpd.push_back(-0.00047248457400);
248  hpd.push_back(0.12874742662019);
249  hpd.push_back(0.01736930100202);
250  hpd.push_back(-0.04408825393106);
251  hpd.push_back(-0.01398102791702);
252  hpd.push_back(0.00874609404702);
253  hpd.push_back(0.00487035299301);
254  hpd.push_back(-0.00039174037300);
255  hpd.push_back(-0.00067544940600);
256  hpd.push_back(-0.00011747678400);
257  flength = 16;
258  break;
259 
260  case Daubechies_9:
261  lpd.push_back(0.00003934732000);
262  lpd.push_back(-0.00025196318900);
263  lpd.push_back(0.00023038576400);
264  lpd.push_back(0.00184764688296);
265  lpd.push_back(-0.00428150368190);
266  lpd.push_back(-0.00472320475789);
267  lpd.push_back(0.02236166212352);
268  lpd.push_back(0.00025094711499);
269  lpd.push_back(-0.06763282905952);
270  lpd.push_back(0.03072568147832);
271  lpd.push_back(0.14854074933476);
272  lpd.push_back(-0.09684078322088);
273  lpd.push_back(-0.29327378327259);
274  lpd.push_back(0.13319738582209);
275  lpd.push_back(0.65728807803664);
276  lpd.push_back(0.60482312367678);
277  lpd.push_back(0.24383467463767);
278  lpd.push_back(0.03807794736317);
279  hpd.push_back(-0.03807794736317);
280  hpd.push_back(0.24383467463767);
281  hpd.push_back(-0.60482312367678);
282  hpd.push_back(0.65728807803664);
283  hpd.push_back(-0.13319738582209);
284  hpd.push_back(-0.29327378327259);
285  hpd.push_back(0.09684078322088);
286  hpd.push_back(0.14854074933476);
287  hpd.push_back(-0.03072568147832);
288  hpd.push_back(-0.06763282905952);
289  hpd.push_back(-0.00025094711499);
290  hpd.push_back(0.02236166212352);
291  hpd.push_back(0.00472320475789);
292  hpd.push_back(-0.00428150368190);
293  hpd.push_back(-0.00184764688296);
294  hpd.push_back(0.00023038576400);
295  hpd.push_back(0.00025196318900);
296  hpd.push_back(0.00003934732000);
297  flength = 18;
298  break;
299 
300  case Daubechies_10:
301  lpd.push_back(-0.00001326420300);
302  lpd.push_back(0.00009358867000);
303  lpd.push_back(-0.00011646685499);
304  lpd.push_back(-0.00068585669500);
305  lpd.push_back(0.00199240529499);
306  lpd.push_back(0.00139535174699);
307  lpd.push_back(-0.01073317548298);
308  lpd.push_back(0.00360655356699);
309  lpd.push_back(0.03321267405893);
310  lpd.push_back(-0.02945753682195);
311  lpd.push_back(-0.07139414716586);
312  lpd.push_back(0.09305736460381);
313  lpd.push_back(0.12736934033574);
314  lpd.push_back(-0.19594627437660);
315  lpd.push_back(-0.24984642432649);
316  lpd.push_back(0.28117234366043);
317  lpd.push_back(0.68845903945259);
318  lpd.push_back(0.52720118893092);
319  lpd.push_back(0.18817680007762);
320  lpd.push_back(0.02667005790095);
321  hpd.push_back(-0.02667005790095);
322  hpd.push_back(0.18817680007762);
323  hpd.push_back(-0.52720118893092);
324  hpd.push_back(0.68845903945259);
325  hpd.push_back(-0.28117234366043);
326  hpd.push_back(-0.24984642432649);
327  hpd.push_back(0.19594627437660);
328  hpd.push_back(0.12736934033574);
329  hpd.push_back(-0.09305736460381);
330  hpd.push_back(-0.07139414716586);
331  hpd.push_back(0.02945753682195);
332  hpd.push_back(0.03321267405893);
333  hpd.push_back(-0.00360655356699);
334  hpd.push_back(-0.01073317548298);
335  hpd.push_back(-0.00139535174699);
336  hpd.push_back(0.00199240529499);
337  hpd.push_back(0.00068585669500);
338  hpd.push_back(-0.00011646685499);
339  hpd.push_back(-0.00009358867000);
340  hpd.push_back(-0.00001326420300);
341  flength = 20;
342  break;
343 
344  case Daubechies_20:
345  lpd.push_back(-0.00000000029988);
346  lpd.push_back(0.00000000405613);
347  lpd.push_back(-0.00000001814843);
348  lpd.push_back(0.00000000020143);
349  lpd.push_back(0.00000026339242);
350  lpd.push_back(-0.00000068470796);
351  lpd.push_back(-0.00000101199401);
352  lpd.push_back(0.00000724124829);
353  lpd.push_back(-0.00000437614386);
354  lpd.push_back(-0.00003710586183);
355  lpd.push_back(0.00006774280828);
356  lpd.push_back(0.00010153288973);
357  lpd.push_back(-0.00038510474870);
358  lpd.push_back(-0.00005349759845);
359  lpd.push_back(0.00139255961930);
360  lpd.push_back(-0.00083156217284);
361  lpd.push_back(-0.00358149425960);
362  lpd.push_back(0.00442054238705);
363  lpd.push_back(0.00672162730228);
364  lpd.push_back(-0.01381052613705);
365  lpd.push_back(-0.00878932492387);
366  lpd.push_back(0.03229429953057);
367  lpd.push_back(0.00587468181179);
368  lpd.push_back(-0.06172289962416);
369  lpd.push_back(0.00563224685793);
370  lpd.push_back(0.10229171917503);
371  lpd.push_back(-0.02471682733721);
372  lpd.push_back(-0.15545875070532);
373  lpd.push_back(0.03985024645854);
374  lpd.push_back(0.22829105081947);
375  lpd.push_back(-0.01672708830868);
376  lpd.push_back(-0.32678680043239);
377  lpd.push_back(-0.13921208801080);
378  lpd.push_back(0.36150229873767);
379  lpd.push_back(0.61049323893578);
380  lpd.push_back(0.47269618530872);
381  lpd.push_back(0.21994211355038);
382  lpd.push_back(0.06342378045879);
383  lpd.push_back(0.01054939462490);
384  lpd.push_back(0.00077995361366);
385  hpd.push_back(-0.00077995361366);
386  hpd.push_back(0.01054939462490);
387  hpd.push_back(-0.06342378045879);
388  hpd.push_back(0.21994211355038);
389  hpd.push_back(-0.47269618530872);
390  hpd.push_back(0.61049323893578);
391  hpd.push_back(-0.36150229873767);
392  hpd.push_back(-0.13921208801080);
393  hpd.push_back(0.32678680043239);
394  hpd.push_back(-0.01672708830868);
395  hpd.push_back(-0.22829105081947);
396  hpd.push_back(0.03985024645854);
397  hpd.push_back(0.15545875070532);
398  hpd.push_back(-0.02471682733721);
399  hpd.push_back(-0.10229171917503);
400  hpd.push_back(0.00563224685793);
401  hpd.push_back(0.06172289962416);
402  hpd.push_back(0.00587468181179);
403  hpd.push_back(-0.03229429953057);
404  hpd.push_back(-0.00878932492387);
405  hpd.push_back(0.01381052613705);
406  hpd.push_back(0.00672162730228);
407  hpd.push_back(-0.00442054238705);
408  hpd.push_back(-0.00358149425960);
409  hpd.push_back(0.00083156217284);
410  hpd.push_back(0.00139255961930);
411  hpd.push_back(0.00005349759845);
412  hpd.push_back(-0.00038510474870);
413  hpd.push_back(-0.00010153288973);
414  hpd.push_back(0.00006774280828);
415  hpd.push_back(0.00003710586183);
416  hpd.push_back(-0.00000437614386);
417  hpd.push_back(-0.00000724124829);
418  hpd.push_back(-0.00000101199401);
419  hpd.push_back(0.00000068470796);
420  hpd.push_back(0.00000026339242);
421  hpd.push_back(-0.00000000020143);
422  hpd.push_back(-0.00000001814843);
423  hpd.push_back(-0.00000000405613);
424  hpd.push_back(-0.00000000029988);
425  flength = 40;
426  break;
427 
428  case Daubechies_40:
429  lpd.push_back(-0.00000000000000);
430  lpd.push_back(0.00000000000000);
431  lpd.push_back(-0.00000000000000);
432  lpd.push_back(0.00000000000000);
433  lpd.push_back(-0.00000000000000);
434  lpd.push_back(-0.00000000000001);
435  lpd.push_back(0.00000000000003);
436  lpd.push_back(-0.00000000000001);
437  lpd.push_back(-0.00000000000037);
438  lpd.push_back(0.00000000000121);
439  lpd.push_back(0.00000000000108);
440  lpd.push_back(-0.00000000001441);
441  lpd.push_back(0.00000000001995);
442  lpd.push_back(0.00000000008134);
443  lpd.push_back(-0.00000000029288);
444  lpd.push_back(-0.00000000009963);
445  lpd.push_back(0.00000000205938);
446  lpd.push_back(-0.00000000227332);
447  lpd.push_back(-0.00000000873967);
448  lpd.push_back(0.00000002288390);
449  lpd.push_back(0.00000001741059);
450  lpd.push_back(-0.00000012745008);
451  lpd.push_back(0.00000005361186);
452  lpd.push_back(0.00000048834273);
453  lpd.push_back(-0.00000066863337);
454  lpd.push_back(-0.00000128043283);
455  lpd.push_back(0.00000350778202);
456  lpd.push_back(0.00000165493877);
457  lpd.push_back(-0.00001288842174);
458  lpd.push_back(0.00000406557792);
459  lpd.push_back(0.00003629399945);
460  lpd.push_back(-0.00003566632371);
461  lpd.push_back(-0.00007878802614);
462  lpd.push_back(0.00014251943335);
463  lpd.push_back(0.00012109867291);
464  lpd.push_back(-0.00041818330353);
465  lpd.push_back(-0.00007088554491);
466  lpd.push_back(0.00099285797789);
467  lpd.push_back(-0.00031193527356);
468  lpd.push_back(-0.00197480748041);
469  lpd.push_back(0.00148388984367);
470  lpd.push_back(0.00332132500594);
471  lpd.push_back(-0.00412431015737);
472  lpd.push_back(-0.00467322831674);
473  lpd.push_back(0.00901902126682);
474  lpd.push_back(0.00524573780794);
475  lpd.push_back(-0.01682072694998);
476  lpd.push_back(-0.00388701203892);
477  lpd.push_back(0.02781129406516);
478  lpd.push_back(-0.00059370667303);
479  lpd.push_back(-0.04179364874023);
480  lpd.push_back(0.00895082555981);
481  lpd.push_back(0.05834125954654);
482  lpd.push_back(-0.02094317414735);
483  lpd.push_back(-0.07729538082012);
484  lpd.push_back(0.03492832601473);
485  lpd.push_back(0.09941959711973);
486  lpd.push_back(-0.04741061635274);
487  lpd.push_back(-0.12673146574763);
488  lpd.push_back(0.05216571236437);
489  lpd.push_back(0.16185493481917);
490  lpd.push_back(-0.03814703831047);
491  lpd.push_back(-0.20452405393126);
492  lpd.push_back(-0.01395065907035);
493  lpd.push_back(0.24017584511066);
494  lpd.push_back(0.13119716231525);
495  lpd.push_back(-0.21027451192673);
496  lpd.push_back(-0.31275608334174);
497  lpd.push_back(-0.02817037418845);
498  lpd.push_back(0.35209361261033);
499  lpd.push_back(0.51902874766301);
500  lpd.push_back(0.43815806016110);
501  lpd.push_back(0.25977619424035);
502  lpd.push_back(0.11476477757177);
503  lpd.push_back(0.03842788992792);
504  lpd.push_back(0.00969841552091);
505  lpd.push_back(0.00179808920458);
506  lpd.push_back(0.00023209364055);
507  lpd.push_back(0.00001869221611);
508  lpd.push_back(0.00000070900603);
509  hpd.push_back(-0.00000070900603);
510  hpd.push_back(0.00001869221611);
511  hpd.push_back(-0.00023209364055);
512  hpd.push_back(0.00179808920458);
513  hpd.push_back(-0.00969841552091);
514  hpd.push_back(0.03842788992792);
515  hpd.push_back(-0.11476477757177);
516  hpd.push_back(0.25977619424035);
517  hpd.push_back(-0.43815806016110);
518  hpd.push_back(0.51902874766301);
519  hpd.push_back(-0.35209361261033);
520  hpd.push_back(-0.02817037418845);
521  hpd.push_back(0.31275608334174);
522  hpd.push_back(-0.21027451192673);
523  hpd.push_back(-0.13119716231525);
524  hpd.push_back(0.24017584511066);
525  hpd.push_back(0.01395065907035);
526  hpd.push_back(-0.20452405393126);
527  hpd.push_back(0.03814703831047);
528  hpd.push_back(0.16185493481917);
529  hpd.push_back(-0.05216571236437);
530  hpd.push_back(-0.12673146574763);
531  hpd.push_back(0.04741061635274);
532  hpd.push_back(0.09941959711973);
533  hpd.push_back(-0.03492832601473);
534  hpd.push_back(-0.07729538082012);
535  hpd.push_back(0.02094317414735);
536  hpd.push_back(0.05834125954654);
537  hpd.push_back(-0.00895082555981);
538  hpd.push_back(-0.04179364874023);
539  hpd.push_back(0.00059370667303);
540  hpd.push_back(0.02781129406516);
541  hpd.push_back(0.00388701203892);
542  hpd.push_back(-0.01682072694998);
543  hpd.push_back(-0.00524573780794);
544  hpd.push_back(0.00901902126682);
545  hpd.push_back(0.00467322831674);
546  hpd.push_back(-0.00412431015737);
547  hpd.push_back(-0.00332132500594);
548  hpd.push_back(0.00148388984367);
549  hpd.push_back(0.00197480748041);
550  hpd.push_back(-0.00031193527356);
551  hpd.push_back(-0.00099285797789);
552  hpd.push_back(-0.00007088554491);
553  hpd.push_back(0.00041818330353);
554  hpd.push_back(0.00012109867291);
555  hpd.push_back(-0.00014251943335);
556  hpd.push_back(-0.00007878802614);
557  hpd.push_back(0.00003566632371);
558  hpd.push_back(0.00003629399945);
559  hpd.push_back(-0.00000406557792);
560  hpd.push_back(-0.00001288842174);
561  hpd.push_back(-0.00000165493877);
562  hpd.push_back(0.00000350778202);
563  hpd.push_back(0.00000128043283);
564  hpd.push_back(-0.00000066863337);
565  hpd.push_back(-0.00000048834273);
566  hpd.push_back(0.00000005361186);
567  hpd.push_back(0.00000012745008);
568  hpd.push_back(0.00000001741059);
569  hpd.push_back(-0.00000002288390);
570  hpd.push_back(-0.00000000873967);
571  hpd.push_back(0.00000000227332);
572  hpd.push_back(0.00000000205938);
573  hpd.push_back(0.00000000009963);
574  hpd.push_back(-0.00000000029288);
575  hpd.push_back(-0.00000000008134);
576  hpd.push_back(0.00000000001995);
577  hpd.push_back(0.00000000001441);
578  hpd.push_back(0.00000000000108);
579  hpd.push_back(-0.00000000000121);
580  hpd.push_back(-0.00000000000037);
581  hpd.push_back(0.00000000000001);
582  hpd.push_back(0.00000000000003);
583  hpd.push_back(0.00000000000001);
584  hpd.push_back(-0.00000000000000);
585  hpd.push_back(-0.00000000000000);
586  hpd.push_back(-0.00000000000000);
587  hpd.push_back(-0.00000000000000);
588  hpd.push_back(-0.00000000000000);
589  flength = 80;
590  break;
591 
592  case Symlet_2:
593  lpd.push_back(-0.12940952255092);
594  lpd.push_back(0.22414386804186);
595  lpd.push_back(0.83651630373747);
596  lpd.push_back(0.48296291314469);
597  hpd.push_back(-0.48296291314469);
598  hpd.push_back(0.83651630373747);
599  hpd.push_back(-0.22414386804186);
600  hpd.push_back(-0.12940952255092);
601  flength = 4;
602  break;
603 
604  case Symlet_3:
605  lpd.push_back(0.03522629188210);
606  lpd.push_back(-0.08544127388224);
607  lpd.push_back(-0.13501102001039);
608  lpd.push_back(0.45987750211933);
609  lpd.push_back(0.80689150931334);
610  lpd.push_back(0.33267055295096);
611  hpd.push_back(-0.33267055295096);
612  hpd.push_back(0.80689150931334);
613  hpd.push_back(-0.45987750211933);
614  hpd.push_back(-0.13501102001039);
615  hpd.push_back(0.08544127388224);
616  hpd.push_back(0.03522629188210);
617  flength = 6;
618  break;
619 
620  case Symlet_4:
621  lpd.push_back(-0.07576571478927);
622  lpd.push_back(-0.02963552764600);
623  lpd.push_back(0.49761866763202);
624  lpd.push_back(0.80373875180592);
625  lpd.push_back(0.29785779560528);
626  lpd.push_back(-0.09921954357685);
627  lpd.push_back(-0.01260396726204);
628  lpd.push_back(0.03222310060404);
629  hpd.push_back(-0.03222310060404);
630  hpd.push_back(-0.01260396726204);
631  hpd.push_back(0.09921954357685);
632  hpd.push_back(0.29785779560528);
633  hpd.push_back(-0.80373875180592);
634  hpd.push_back(0.49761866763202);
635  hpd.push_back(0.02963552764600);
636  hpd.push_back(-0.07576571478927);
637  flength = 8;
638  break;
639 
640  case Symlet_5:
641  lpd.push_back(0.02733306834508);
642  lpd.push_back(0.02951949092577);
643  lpd.push_back(-0.03913424930238);
644  lpd.push_back(0.19939753397739);
645  lpd.push_back(0.72340769040242);
646  lpd.push_back(0.63397896345821);
647  lpd.push_back(0.01660210576452);
648  lpd.push_back(-0.17532808990845);
649  lpd.push_back(-0.02110183402476);
650  lpd.push_back(0.01953888273529);
651  hpd.push_back(-0.01953888273529);
652  hpd.push_back(-0.02110183402476);
653  hpd.push_back(0.17532808990845);
654  hpd.push_back(0.01660210576452);
655  hpd.push_back(-0.63397896345821);
656  hpd.push_back(0.72340769040242);
657  hpd.push_back(-0.19939753397739);
658  hpd.push_back(-0.03913424930238);
659  hpd.push_back(-0.02951949092577);
660  hpd.push_back(0.02733306834508);
661  flength = 10;
662  break;
663 
664  case Symlet_6:
665  lpd.push_back(0.01540410932703);
666  lpd.push_back(0.00349071208422);
667  lpd.push_back(-0.11799011114819);
668  lpd.push_back(-0.04831174258563);
669  lpd.push_back(0.49105594192675);
670  lpd.push_back(0.78764114103019);
671  lpd.push_back(0.33792942172762);
672  lpd.push_back(-0.07263752278646);
673  lpd.push_back(-0.02106029251230);
674  lpd.push_back(0.04472490177067);
675  lpd.push_back(0.00176771186424);
676  lpd.push_back(-0.00780070832503);
677  hpd.push_back(0.00780070832503);
678  hpd.push_back(0.00176771186424);
679  hpd.push_back(-0.04472490177067);
680  hpd.push_back(-0.02106029251230);
681  hpd.push_back(0.07263752278646);
682  hpd.push_back(0.33792942172762);
683  hpd.push_back(-0.78764114103019);
684  hpd.push_back(0.49105594192675);
685  hpd.push_back(0.04831174258563);
686  hpd.push_back(-0.11799011114819);
687  hpd.push_back(-0.00349071208422);
688  hpd.push_back(0.01540410932703);
689  flength = 12;
690  break;
691 
692  case Symlet_7:
693  lpd.push_back(0.00268181456826);
694  lpd.push_back(-0.00104738488868);
695  lpd.push_back(-0.01263630340325);
696  lpd.push_back(0.03051551316596);
697  lpd.push_back(0.06789269350137);
698  lpd.push_back(-0.04955283493713);
699  lpd.push_back(0.01744125508686);
700  lpd.push_back(0.53610191709176);
701  lpd.push_back(0.76776431700316);
702  lpd.push_back(0.28862963175151);
703  lpd.push_back(-0.14004724044296);
704  lpd.push_back(-0.10780823770382);
705  lpd.push_back(0.00401024487153);
706  lpd.push_back(0.01026817670851);
707  hpd.push_back(-0.01026817670851);
708  hpd.push_back(0.00401024487153);
709  hpd.push_back(0.10780823770382);
710  hpd.push_back(-0.14004724044296);
711  hpd.push_back(-0.28862963175151);
712  hpd.push_back(0.76776431700316);
713  hpd.push_back(-0.53610191709176);
714  hpd.push_back(0.01744125508686);
715  hpd.push_back(0.04955283493713);
716  hpd.push_back(0.06789269350137);
717  hpd.push_back(-0.03051551316596);
718  hpd.push_back(-0.01263630340325);
719  hpd.push_back(0.00104738488868);
720  hpd.push_back(0.00268181456826);
721  flength = 14;
722  break;
723 
724  case Symlet_8:
725  lpd.push_back(-0.00338241595101);
726  lpd.push_back(-0.00054213233179);
727  lpd.push_back(0.03169508781149);
728  lpd.push_back(0.00760748732492);
729  lpd.push_back(-0.14329423835081);
730  lpd.push_back(-0.06127335906766);
731  lpd.push_back(0.48135965125837);
732  lpd.push_back(0.77718575170052);
733  lpd.push_back(0.36444189483533);
734  lpd.push_back(-0.05194583810771);
735  lpd.push_back(-0.02721902991706);
736  lpd.push_back(0.04913717967361);
737  lpd.push_back(0.00380875201389);
738  lpd.push_back(-0.01495225833705);
739  lpd.push_back(-0.00030292051472);
740  lpd.push_back(0.00188995033276);
741  hpd.push_back(-0.00188995033276);
742  hpd.push_back(-0.00030292051472);
743  hpd.push_back(0.01495225833705);
744  hpd.push_back(0.00380875201389);
745  hpd.push_back(-0.04913717967361);
746  hpd.push_back(-0.02721902991706);
747  hpd.push_back(0.05194583810771);
748  hpd.push_back(0.36444189483533);
749  hpd.push_back(-0.77718575170052);
750  hpd.push_back(0.48135965125837);
751  hpd.push_back(0.06127335906766);
752  hpd.push_back(-0.14329423835081);
753  hpd.push_back(-0.00760748732492);
754  hpd.push_back(0.03169508781149);
755  hpd.push_back(0.00054213233179);
756  hpd.push_back(-0.00338241595101);
757  flength = 16;
758  break;
759 
760  case Symlet_9:
761  lpd.push_back(0.00140091552591);
762  lpd.push_back(0.00061978088899);
763  lpd.push_back(-0.01327196778182);
764  lpd.push_back(-0.01152821020768);
765  lpd.push_back(0.03022487885828);
766  lpd.push_back(0.00058346274612);
767  lpd.push_back(-0.05456895843083);
768  lpd.push_back(0.23876091460730);
769  lpd.push_back(0.71789708276441);
770  lpd.push_back(0.61733844914094);
771  lpd.push_back(0.03527248803527);
772  lpd.push_back(-0.19155083129728);
773  lpd.push_back(-0.01823377077940);
774  lpd.push_back(0.06207778930289);
775  lpd.push_back(0.00885926749340);
776  lpd.push_back(-0.01026406402763);
777  lpd.push_back(-0.00047315449868);
778  lpd.push_back(0.00106949003291);
779  hpd.push_back(-0.00106949003291);
780  hpd.push_back(-0.00047315449868);
781  hpd.push_back(0.01026406402763);
782  hpd.push_back(0.00885926749340);
783  hpd.push_back(-0.06207778930289);
784  hpd.push_back(-0.01823377077940);
785  hpd.push_back(0.19155083129728);
786  hpd.push_back(0.03527248803527);
787  hpd.push_back(-0.61733844914094);
788  hpd.push_back(0.71789708276441);
789  hpd.push_back(-0.23876091460730);
790  hpd.push_back(-0.05456895843083);
791  hpd.push_back(-0.00058346274612);
792  hpd.push_back(0.03022487885828);
793  hpd.push_back(0.01152821020768);
794  hpd.push_back(-0.01327196778182);
795  hpd.push_back(-0.00061978088899);
796  hpd.push_back(0.00140091552591);
797  flength = 18;
798  break;
799 
800  case Symlet_10:
801  lpd.push_back(0.00077015980911);
802  lpd.push_back(0.00009563267072);
803  lpd.push_back(-0.00864129927702);
804  lpd.push_back(-0.00146538258130);
805  lpd.push_back(0.04592723923109);
806  lpd.push_back(0.01160989390371);
807  lpd.push_back(-0.15949427888491);
808  lpd.push_back(-0.07088053578323);
809  lpd.push_back(0.47169066693845);
810  lpd.push_back(0.76951003702110);
811  lpd.push_back(0.38382676106707);
812  lpd.push_back(-0.03553674047383);
813  lpd.push_back(-0.03199005688243);
814  lpd.push_back(0.04999497207737);
815  lpd.push_back(0.00576491203358);
816  lpd.push_back(-0.02035493981231);
817  lpd.push_back(-0.00080435893202);
818  lpd.push_back(0.00459317358531);
819  lpd.push_back(0.00005703608362);
820  lpd.push_back(-0.00045932942100);
821  hpd.push_back(0.00045932942100);
822  hpd.push_back(0.00005703608362);
823  hpd.push_back(-0.00459317358531);
824  hpd.push_back(-0.00080435893202);
825  hpd.push_back(0.02035493981231);
826  hpd.push_back(0.00576491203358);
827  hpd.push_back(-0.04999497207737);
828  hpd.push_back(-0.03199005688243);
829  hpd.push_back(0.03553674047383);
830  hpd.push_back(0.38382676106707);
831  hpd.push_back(-0.76951003702110);
832  hpd.push_back(0.47169066693845);
833  hpd.push_back(0.07088053578323);
834  hpd.push_back(-0.15949427888491);
835  hpd.push_back(-0.01160989390371);
836  hpd.push_back(0.04592723923109);
837  hpd.push_back(0.00146538258130);
838  hpd.push_back(-0.00864129927702);
839  hpd.push_back(-0.00009563267072);
840  hpd.push_back(0.00077015980911);
841  flength = 20;
842  break;
843 
844  case Symlet_20:
845  lpd.push_back(0.00000036955375);
846  lpd.push_back(-0.00000019015676);
847  lpd.push_back(-0.00000791936141);
848  lpd.push_back(0.00000302566606);
849  lpd.push_back(0.00007992967836);
850  lpd.push_back(-0.00001928412301);
851  lpd.push_back(-0.00049473109157);
852  lpd.push_back(0.00007215991188);
853  lpd.push_back(0.00208899470819);
854  lpd.push_back(-0.00030526283181);
855  lpd.push_back(-0.00660658579912);
856  lpd.push_back(0.00142308735944);
857  lpd.push_back(0.01700404902335);
858  lpd.push_back(-0.00331385738375);
859  lpd.push_back(-0.03162943714501);
860  lpd.push_back(0.00812322835637);
861  lpd.push_back(0.02557934951027);
862  lpd.push_back(-0.07899434492693);
863  lpd.push_back(-0.02981936887758);
864  lpd.push_back(0.40583144435233);
865  lpd.push_back(0.75116272842520);
866  lpd.push_back(0.47199147510012);
867  lpd.push_back(-0.05108834292497);
868  lpd.push_back(-0.16057829841831);
869  lpd.push_back(0.03625095165278);
870  lpd.push_back(0.08891966802764);
871  lpd.push_back(-0.00684370196580);
872  lpd.push_back(-0.03537333675714);
873  lpd.push_back(0.00193859706711);
874  lpd.push_back(0.01215704094879);
875  lpd.push_back(-0.00061112638583);
876  lpd.push_back(-0.00347164780287);
877  lpd.push_back(0.00012544091723);
878  lpd.push_back(0.00074761085979);
879  lpd.push_back(-0.00002661555034);
880  lpd.push_back(-0.00011739133516);
881  lpd.push_back(0.00000452542221);
882  lpd.push_back(0.00001228725278);
883  lpd.push_back(-0.00000032567026);
884  lpd.push_back(-0.00000063291290);
885  hpd.push_back(0.00000063291290);
886  hpd.push_back(-0.00000032567026);
887  hpd.push_back(-0.00001228725278);
888  hpd.push_back(0.00000452542221);
889  hpd.push_back(0.00011739133516);
890  hpd.push_back(-0.00002661555034);
891  hpd.push_back(-0.00074761085979);
892  hpd.push_back(0.00012544091723);
893  hpd.push_back(0.00347164780287);
894  hpd.push_back(-0.00061112638583);
895  hpd.push_back(-0.01215704094879);
896  hpd.push_back(0.00193859706711);
897  hpd.push_back(0.03537333675714);
898  hpd.push_back(-0.00684370196580);
899  hpd.push_back(-0.08891966802764);
900  hpd.push_back(0.03625095165278);
901  hpd.push_back(0.16057829841831);
902  hpd.push_back(-0.05108834292497);
903  hpd.push_back(-0.47199147510012);
904  hpd.push_back(0.75116272842520);
905  hpd.push_back(-0.40583144435233);
906  hpd.push_back(-0.02981936887758);
907  hpd.push_back(0.07899434492693);
908  hpd.push_back(0.02557934951027);
909  hpd.push_back(-0.00812322835637);
910  hpd.push_back(-0.03162943714501);
911  hpd.push_back(0.00331385738375);
912  hpd.push_back(0.01700404902335);
913  hpd.push_back(-0.00142308735944);
914  hpd.push_back(-0.00660658579912);
915  hpd.push_back(0.00030526283181);
916  hpd.push_back(0.00208899470819);
917  hpd.push_back(-0.00007215991188);
918  hpd.push_back(-0.00049473109157);
919  hpd.push_back(0.00001928412301);
920  hpd.push_back(0.00007992967836);
921  hpd.push_back(-0.00000302566606);
922  hpd.push_back(-0.00000791936141);
923  hpd.push_back(0.00000019015676);
924  hpd.push_back(0.00000036955375);
925  flength = 40;
926  break;
927 
928  case Symlet_30:
929  lpd.push_back(-0.00000000032641);
930  lpd.push_back(0.00000000014934);
931  lpd.push_back(0.00000001014810);
932  lpd.push_back(-0.00000000380480);
933  lpd.push_back(-0.00000014986093);
934  lpd.push_back(0.00000005465704);
935  lpd.push_back(0.00000142300535);
936  lpd.push_back(-0.00000055432777);
937  lpd.push_back(-0.00000989667666);
938  lpd.push_back(0.00000402586608);
939  lpd.push_back(0.00005384705071);
940  lpd.push_back(-0.00002120203482);
941  lpd.push_back(-0.00023724381672);
942  lpd.push_back(0.00008209438737);
943  lpd.push_back(0.00086145325795);
944  lpd.push_back(-0.00023156681831);
945  lpd.push_back(-0.00258964866287);
946  lpd.push_back(0.00046642571155);
947  lpd.push_back(0.00645416706920);
948  lpd.push_back(-0.00051919282891);
949  lpd.push_back(-0.01284118837655);
950  lpd.push_back(0.00037212345706);
951  lpd.push_back(0.01829725025850);
952  lpd.push_back(-0.00795200356952);
953  lpd.push_back(-0.02521794435506);
954  lpd.push_back(0.02965858822312);
955  lpd.push_back(0.02927100294045);
956  lpd.push_back(-0.09630227559219);
957  lpd.push_back(-0.03849155121162);
958  lpd.push_back(0.40072250790936);
959  lpd.push_back(0.74269376814242);
960  lpd.push_back(0.48469805553706);
961  lpd.push_back(-0.02843778080778);
962  lpd.push_back(-0.16204679936904);
963  lpd.push_back(0.02619852204894);
964  lpd.push_back(0.08910160832786);
965  lpd.push_back(-0.01523693234758);
966  lpd.push_back(-0.04889669606591);
967  lpd.push_back(0.00760939409040);
968  lpd.push_back(0.02556854558808);
969  lpd.push_back(-0.00162932098036);
970  lpd.push_back(-0.01038876672659);
971  lpd.push_back(0.00054379809563);
972  lpd.push_back(0.00371380689803);
973  lpd.push_back(-0.00027628401612);
974  lpd.push_back(-0.00119692132405);
975  lpd.push_back(0.00011735865251);
976  lpd.push_back(0.00034385220669);
977  lpd.push_back(-0.00003293175202);
978  lpd.push_back(-0.00008272690387);
979  lpd.push_back(0.00000647382532);
980  lpd.push_back(0.00001589700426);
981  lpd.push_back(-0.00000089828423);
982  lpd.push_back(-0.00000232354967);
983  lpd.push_back(0.00000008995011);
984  lpd.push_back(0.00000024412960);
985  lpd.push_back(-0.00000000612778);
986  lpd.push_back(-0.00000001650488);
987  lpd.push_back(0.00000000025363);
988  lpd.push_back(0.00000000055439);
989  hpd.push_back(-0.00000000055439);
990  hpd.push_back(0.00000000025363);
991  hpd.push_back(0.00000001650488);
992  hpd.push_back(-0.00000000612778);
993  hpd.push_back(-0.00000024412960);
994  hpd.push_back(0.00000008995011);
995  hpd.push_back(0.00000232354967);
996  hpd.push_back(-0.00000089828423);
997  hpd.push_back(-0.00001589700426);
998  hpd.push_back(0.00000647382532);
999  hpd.push_back(0.00008272690387);
1000  hpd.push_back(-0.00003293175202);
1001  hpd.push_back(-0.00034385220669);
1002  hpd.push_back(0.00011735865251);
1003  hpd.push_back(0.00119692132405);
1004  hpd.push_back(-0.00027628401612);
1005  hpd.push_back(-0.00371380689803);
1006  hpd.push_back(0.00054379809563);
1007  hpd.push_back(0.01038876672659);
1008  hpd.push_back(-0.00162932098036);
1009  hpd.push_back(-0.02556854558808);
1010  hpd.push_back(0.00760939409040);
1011  hpd.push_back(0.04889669606591);
1012  hpd.push_back(-0.01523693234758);
1013  hpd.push_back(-0.08910160832786);
1014  hpd.push_back(0.02619852204894);
1015  hpd.push_back(0.16204679936904);
1016  hpd.push_back(-0.02843778080778);
1017  hpd.push_back(-0.48469805553706);
1018  hpd.push_back(0.74269376814242);
1019  hpd.push_back(-0.40072250790936);
1020  hpd.push_back(-0.03849155121162);
1021  hpd.push_back(0.09630227559219);
1022  hpd.push_back(0.02927100294045);
1023  hpd.push_back(-0.02965858822312);
1024  hpd.push_back(-0.02521794435506);
1025  hpd.push_back(0.00795200356952);
1026  hpd.push_back(0.01829725025850);
1027  hpd.push_back(-0.00037212345706);
1028  hpd.push_back(-0.01284118837655);
1029  hpd.push_back(0.00051919282891);
1030  hpd.push_back(0.00645416706920);
1031  hpd.push_back(-0.00046642571155);
1032  hpd.push_back(-0.00258964866287);
1033  hpd.push_back(0.00023156681831);
1034  hpd.push_back(0.00086145325795);
1035  hpd.push_back(-0.00008209438737);
1036  hpd.push_back(-0.00023724381672);
1037  hpd.push_back(0.00002120203482);
1038  hpd.push_back(0.00005384705071);
1039  hpd.push_back(-0.00000402586608);
1040  hpd.push_back(-0.00000989667666);
1041  hpd.push_back(0.00000055432777);
1042  hpd.push_back(0.00000142300535);
1043  hpd.push_back(-0.00000005465704);
1044  hpd.push_back(-0.00000014986093);
1045  hpd.push_back(0.00000000380480);
1046  hpd.push_back(0.00000001014810);
1047  hpd.push_back(-0.00000000014934);
1048  hpd.push_back(-0.00000000032641);
1049  flength = 60;
1050  break;
1051 
1052  case Coiflet_1:
1053  lpd.push_back(-0.01565572813546);
1054  lpd.push_back(-0.07273261951285);
1055  lpd.push_back(0.38486484686420);
1056  lpd.push_back(0.85257202021226);
1057  lpd.push_back(0.33789766245781);
1058  lpd.push_back(-0.07273261951285);
1059  hpd.push_back(0.07273261951285);
1060  hpd.push_back(0.33789766245781);
1061  hpd.push_back(-0.85257202021226);
1062  hpd.push_back(0.38486484686420);
1063  hpd.push_back(0.07273261951285);
1064  hpd.push_back(-0.01565572813546);
1065  flength = 6;
1066  break;
1067 
1068  case Coiflet_2:
1069  lpd.push_back(-0.00072054944536);
1070  lpd.push_back(-0.00182320887070);
1071  lpd.push_back(0.00561143481939);
1072  lpd.push_back(0.02368017194633);
1073  lpd.push_back(-0.05943441864646);
1074  lpd.push_back(-0.07648859907831);
1075  lpd.push_back(0.41700518442169);
1076  lpd.push_back(0.81272363544554);
1077  lpd.push_back(0.38611006682116);
1078  lpd.push_back(-0.06737255472196);
1079  lpd.push_back(-0.04146493678176);
1080  lpd.push_back(0.01638733646352);
1081  hpd.push_back(-0.01638733646352);
1082  hpd.push_back(-0.04146493678176);
1083  hpd.push_back(0.06737255472196);
1084  hpd.push_back(0.38611006682116);
1085  hpd.push_back(-0.81272363544554);
1086  hpd.push_back(0.41700518442169);
1087  hpd.push_back(0.07648859907831);
1088  hpd.push_back(-0.05943441864646);
1089  hpd.push_back(-0.02368017194633);
1090  hpd.push_back(0.00561143481939);
1091  hpd.push_back(0.00182320887070);
1092  hpd.push_back(-0.00072054944536);
1093  flength = 12;
1094  break;
1095 
1096  case Coiflet_3:
1097  lpd.push_back(-0.00003459977284);
1098  lpd.push_back(-0.00007098330314);
1099  lpd.push_back(0.00046621696011);
1100  lpd.push_back(0.00111751877089);
1101  lpd.push_back(-0.00257451768875);
1102  lpd.push_back(-0.00900797613666);
1103  lpd.push_back(0.01588054486362);
1104  lpd.push_back(0.03455502757306);
1105  lpd.push_back(-0.08230192710689);
1106  lpd.push_back(-0.07179982161931);
1107  lpd.push_back(0.42848347637762);
1108  lpd.push_back(0.79377722262562);
1109  lpd.push_back(0.40517690240962);
1110  lpd.push_back(-0.06112339000267);
1111  lpd.push_back(-0.06577191128186);
1112  lpd.push_back(0.02345269614184);
1113  lpd.push_back(0.00778259642733);
1114  lpd.push_back(-0.00379351286449);
1115  hpd.push_back(0.00379351286449);
1116  hpd.push_back(0.00778259642733);
1117  hpd.push_back(-0.02345269614184);
1118  hpd.push_back(-0.06577191128186);
1119  hpd.push_back(0.06112339000267);
1120  hpd.push_back(0.40517690240962);
1121  hpd.push_back(-0.79377722262562);
1122  hpd.push_back(0.42848347637762);
1123  hpd.push_back(0.07179982161931);
1124  hpd.push_back(-0.08230192710689);
1125  hpd.push_back(-0.03455502757306);
1126  hpd.push_back(0.01588054486362);
1127  hpd.push_back(0.00900797613666);
1128  hpd.push_back(-0.00257451768875);
1129  hpd.push_back(-0.00111751877089);
1130  hpd.push_back(0.00046621696011);
1131  hpd.push_back(0.00007098330314);
1132  hpd.push_back(-0.00003459977284);
1133  flength = 18;
1134  break;
1135 
1136  case Coiflet_4:
1137  lpd.push_back(-0.00000178498500);
1138  lpd.push_back(-0.00000325968024);
1139  lpd.push_back(0.00003122987587);
1140  lpd.push_back(0.00006233903446);
1141  lpd.push_back(-0.00025997455249);
1142  lpd.push_back(-0.00058902075624);
1143  lpd.push_back(0.00126656192930);
1144  lpd.push_back(0.00375143615728);
1145  lpd.push_back(-0.00565828668661);
1146  lpd.push_back(-0.01521173152795);
1147  lpd.push_back(0.02508226184486);
1148  lpd.push_back(0.03933442712334);
1149  lpd.push_back(-0.09622044203399);
1150  lpd.push_back(-0.06662747426343);
1151  lpd.push_back(0.43438605649147);
1152  lpd.push_back(0.78223893092050);
1153  lpd.push_back(0.41530840703043);
1154  lpd.push_back(-0.05607731331675);
1155  lpd.push_back(-0.08126669968088);
1156  lpd.push_back(0.02668230015605);
1157  lpd.push_back(0.01606894396478);
1158  lpd.push_back(-0.00734616632764);
1159  lpd.push_back(-0.00162949201260);
1160  lpd.push_back(0.00089231366858);
1161  hpd.push_back(-0.00089231366858);
1162  hpd.push_back(-0.00162949201260);
1163  hpd.push_back(0.00734616632764);
1164  hpd.push_back(0.01606894396478);
1165  hpd.push_back(-0.02668230015605);
1166  hpd.push_back(-0.08126669968088);
1167  hpd.push_back(0.05607731331675);
1168  hpd.push_back(0.41530840703043);
1169  hpd.push_back(-0.78223893092050);
1170  hpd.push_back(0.43438605649147);
1171  hpd.push_back(0.06662747426343);
1172  hpd.push_back(-0.09622044203399);
1173  hpd.push_back(-0.03933442712334);
1174  hpd.push_back(0.02508226184486);
1175  hpd.push_back(0.01521173152795);
1176  hpd.push_back(-0.00565828668661);
1177  hpd.push_back(-0.00375143615728);
1178  hpd.push_back(0.00126656192930);
1179  hpd.push_back(0.00058902075624);
1180  hpd.push_back(-0.00025997455249);
1181  hpd.push_back(-0.00006233903446);
1182  hpd.push_back(0.00003122987587);
1183  hpd.push_back(0.00000325968024);
1184  hpd.push_back(-0.00000178498500);
1185  flength = 24;
1186  break;
1187 
1188  case Coiflet_5:
1189  lpd.push_back(-0.00000009517657);
1190  lpd.push_back(-0.00000016744289);
1191  lpd.push_back(0.00000206376185);
1192  lpd.push_back(0.00000373465518);
1193  lpd.push_back(-0.00002131502681);
1194  lpd.push_back(-0.00004134043227);
1195  lpd.push_back(0.00014054114970);
1196  lpd.push_back(0.00030225958181);
1197  lpd.push_back(-0.00063813134305);
1198  lpd.push_back(-0.00166286370201);
1199  lpd.push_back(0.00243337321266);
1200  lpd.push_back(0.00676418544805);
1201  lpd.push_back(-0.00916423116248);
1202  lpd.push_back(-0.01976177894257);
1203  lpd.push_back(0.03268357426711);
1204  lpd.push_back(0.04128920875018);
1205  lpd.push_back(-0.10557420870334);
1206  lpd.push_back(-0.06203596396290);
1207  lpd.push_back(0.43799162617184);
1208  lpd.push_back(0.77428960365296);
1209  lpd.push_back(0.42156620669085);
1210  lpd.push_back(-0.05204316317624);
1211  lpd.push_back(-0.09192001055970);
1212  lpd.push_back(0.02816802897094);
1213  lpd.push_back(0.02340815678584);
1214  lpd.push_back(-0.01013111751985);
1215  lpd.push_back(-0.00415935878139);
1216  lpd.push_back(0.00217823635811);
1217  lpd.push_back(0.00035858968790);
1218  lpd.push_back(-0.00021208083980);
1219  hpd.push_back(0.00021208083980);
1220  hpd.push_back(0.00035858968790);
1221  hpd.push_back(-0.00217823635811);
1222  hpd.push_back(-0.00415935878139);
1223  hpd.push_back(0.01013111751985);
1224  hpd.push_back(0.02340815678584);
1225  hpd.push_back(-0.02816802897094);
1226  hpd.push_back(-0.09192001055970);
1227  hpd.push_back(0.05204316317624);
1228  hpd.push_back(0.42156620669085);
1229  hpd.push_back(-0.77428960365296);
1230  hpd.push_back(0.43799162617184);
1231  hpd.push_back(0.06203596396290);
1232  hpd.push_back(-0.10557420870334);
1233  hpd.push_back(-0.04128920875018);
1234  hpd.push_back(0.03268357426711);
1235  hpd.push_back(0.01976177894257);
1236  hpd.push_back(-0.00916423116248);
1237  hpd.push_back(-0.00676418544805);
1238  hpd.push_back(0.00243337321266);
1239  hpd.push_back(0.00166286370201);
1240  hpd.push_back(-0.00063813134305);
1241  hpd.push_back(-0.00030225958181);
1242  hpd.push_back(0.00014054114970);
1243  hpd.push_back(0.00004134043227);
1244  hpd.push_back(-0.00002131502681);
1245  hpd.push_back(-0.00000373465518);
1246  hpd.push_back(0.00000206376185);
1247  hpd.push_back(0.00000016744289);
1248  hpd.push_back(-0.00000009517657);
1249  flength = 30;
1250  break;
1251 
1252  case Biorthogonal_1_3:
1253  lpd.push_back(-0.08838834764832);
1254  lpd.push_back(0.08838834764832);
1255  lpd.push_back(0.70710678118655);
1256  lpd.push_back(0.70710678118655);
1257  lpd.push_back(0.08838834764832);
1258  lpd.push_back(-0.08838834764832);
1259  hpd.push_back(-0.00000000000000);
1260  hpd.push_back(0.00000000000000);
1261  hpd.push_back(-0.70710678118655);
1262  hpd.push_back(0.70710678118655);
1263  hpd.push_back(-0.00000000000000);
1264  hpd.push_back(0.00000000000000);
1265  flength = 6;
1266  break;
1267 
1268  case Biorthogonal_1_5:
1269  lpd.push_back(0.01657281518406);
1270  lpd.push_back(-0.01657281518406);
1271  lpd.push_back(-0.12153397801644);
1272  lpd.push_back(0.12153397801644);
1273  lpd.push_back(0.70710678118655);
1274  lpd.push_back(0.70710678118655);
1275  lpd.push_back(0.12153397801644);
1276  lpd.push_back(-0.12153397801644);
1277  lpd.push_back(-0.01657281518406);
1278  lpd.push_back(0.01657281518406);
1279  hpd.push_back(-0.00000000000000);
1280  hpd.push_back(0.00000000000000);
1281  hpd.push_back(-0.00000000000000);
1282  hpd.push_back(0.00000000000000);
1283  hpd.push_back(-0.70710678118655);
1284  hpd.push_back(0.70710678118655);
1285  hpd.push_back(-0.00000000000000);
1286  hpd.push_back(0.00000000000000);
1287  hpd.push_back(-0.00000000000000);
1288  hpd.push_back(0.00000000000000);
1289  flength = 10;
1290  break;
1291 
1292  case Biorthogonal_2_2:
1293  lpd.push_back(0.00000000000000);
1294  lpd.push_back(-0.17677669529664);
1295  lpd.push_back(0.35355339059327);
1296  lpd.push_back(1.06066017177982);
1297  lpd.push_back(0.35355339059327);
1298  lpd.push_back(-0.17677669529664);
1299  hpd.push_back(-0.00000000000000);
1300  hpd.push_back(0.35355339059327);
1301  hpd.push_back(-0.70710678118655);
1302  hpd.push_back(0.35355339059327);
1303  hpd.push_back(-0.00000000000000);
1304  hpd.push_back(0.00000000000000);
1305  flength = 6;
1306  break;
1307 
1308  case Biorthogonal_2_4:
1309  lpd.push_back(0.00000000000000);
1310  lpd.push_back(0.03314563036812);
1311  lpd.push_back(-0.06629126073624);
1312  lpd.push_back(-0.17677669529664);
1313  lpd.push_back(0.41984465132951);
1314  lpd.push_back(0.99436891104358);
1315  lpd.push_back(0.41984465132951);
1316  lpd.push_back(-0.17677669529664);
1317  lpd.push_back(-0.06629126073624);
1318  lpd.push_back(0.03314563036812);
1319  hpd.push_back(-0.00000000000000);
1320  hpd.push_back(0.00000000000000);
1321  hpd.push_back(-0.00000000000000);
1322  hpd.push_back(0.35355339059327);
1323  hpd.push_back(-0.70710678118655);
1324  hpd.push_back(0.35355339059327);
1325  hpd.push_back(-0.00000000000000);
1326  hpd.push_back(0.00000000000000);
1327  hpd.push_back(-0.00000000000000);
1328  hpd.push_back(0.00000000000000);
1329  flength = 10;
1330  break;
1331 
1332 
1333  case Biorthogonal_2_6:
1334  lpd.push_back(0.00000000000000);
1335  lpd.push_back(-0.00690533966002);
1336  lpd.push_back(0.01381067932005);
1337  lpd.push_back(0.04695630968817);
1338  lpd.push_back(-0.10772329869639);
1339  lpd.push_back(-0.16987135563661);
1340  lpd.push_back(0.44746600996961);
1341  lpd.push_back(0.96674755240348);
1342  lpd.push_back(0.44746600996961);
1343  lpd.push_back(-0.16987135563661);
1344  lpd.push_back(-0.10772329869639);
1345  lpd.push_back(0.04695630968817);
1346  lpd.push_back(0.01381067932005);
1347  lpd.push_back(-0.00690533966002);
1348  hpd.push_back(-0.00000000000000);
1349  hpd.push_back(0.00000000000000);
1350  hpd.push_back(-0.00000000000000);
1351  hpd.push_back(0.00000000000000);
1352  hpd.push_back(-0.00000000000000);
1353  hpd.push_back(0.35355339059327);
1354  hpd.push_back(-0.70710678118655);
1355  hpd.push_back(0.35355339059327);
1356  hpd.push_back(-0.00000000000000);
1357  hpd.push_back(0.00000000000000);
1358  hpd.push_back(-0.00000000000000);
1359  hpd.push_back(0.00000000000000);
1360  hpd.push_back(-0.00000000000000);
1361  hpd.push_back(0.00000000000000);
1362  flength = 14;
1363  break;
1364 
1365  case Biorthogonal_2_8:
1366  lpd.push_back(0.00000000000000);
1367  lpd.push_back(0.00151054305063);
1368  lpd.push_back(-0.00302108610126);
1369  lpd.push_back(-0.01294751186255);
1370  lpd.push_back(0.02891610982635);
1371  lpd.push_back(0.05299848189069);
1372  lpd.push_back(-0.13491307360774);
1373  lpd.push_back(-0.16382918343409);
1374  lpd.push_back(0.46257144047592);
1375  lpd.push_back(0.95164212189718);
1376  lpd.push_back(0.46257144047592);
1377  lpd.push_back(-0.16382918343409);
1378  lpd.push_back(-0.13491307360774);
1379  lpd.push_back(0.05299848189069);
1380  lpd.push_back(0.02891610982635);
1381  lpd.push_back(-0.01294751186255);
1382  lpd.push_back(-0.00302108610126);
1383  lpd.push_back(0.00151054305063);
1384  hpd.push_back(-0.00000000000000);
1385  hpd.push_back(0.00000000000000);
1386  hpd.push_back(-0.00000000000000);
1387  hpd.push_back(0.00000000000000);
1388  hpd.push_back(-0.00000000000000);
1389  hpd.push_back(0.00000000000000);
1390  hpd.push_back(-0.00000000000000);
1391  hpd.push_back(0.35355339059327);
1392  hpd.push_back(-0.70710678118655);
1393  hpd.push_back(0.35355339059327);
1394  hpd.push_back(-0.00000000000000);
1395  hpd.push_back(0.00000000000000);
1396  hpd.push_back(-0.00000000000000);
1397  hpd.push_back(0.00000000000000);
1398  hpd.push_back(-0.00000000000000);
1399  hpd.push_back(0.00000000000000);
1400  hpd.push_back(-0.00000000000000);
1401  hpd.push_back(0.00000000000000);
1402  flength = 18;
1403  break;
1404 
1405  case Biorthogonal_3_1:
1406  lpd.push_back(-0.35355339059327);
1407  lpd.push_back(1.06066017177982);
1408  lpd.push_back(1.06066017177982);
1409  lpd.push_back(-0.35355339059327);
1410  hpd.push_back(-0.17677669529664);
1411  hpd.push_back(0.53033008588991);
1412  hpd.push_back(-0.53033008588991);
1413  hpd.push_back(0.17677669529664);
1414  flength = 4;
1415  break;
1416 
1417  case Biorthogonal_3_3:
1418  lpd.push_back(0.06629126073624);
1419  lpd.push_back(-0.19887378220872);
1420  lpd.push_back(-0.15467960838456);
1421  lpd.push_back(0.99436891104358);
1422  lpd.push_back(0.99436891104358);
1423  lpd.push_back(-0.15467960838456);
1424  lpd.push_back(-0.19887378220872);
1425  lpd.push_back(0.06629126073624);
1426  hpd.push_back(-0.00000000000000);
1427  hpd.push_back(0.00000000000000);
1428  hpd.push_back(-0.17677669529664);
1429  hpd.push_back(0.53033008588991);
1430  hpd.push_back(-0.53033008588991);
1431  hpd.push_back(0.17677669529664);
1432  hpd.push_back(-0.00000000000000);
1433  hpd.push_back(0.00000000000000);
1434  flength = 8;
1435  break;
1436 
1437  case Biorthogonal_3_5:
1438  lpd.push_back(-0.01381067932005);
1439  lpd.push_back(0.04143203796015);
1440  lpd.push_back(0.05248058141619);
1441  lpd.push_back(-0.26792717880897);
1442  lpd.push_back(-0.07181553246426);
1443  lpd.push_back(0.96674755240348);
1444  lpd.push_back(0.96674755240348);
1445  lpd.push_back(-0.07181553246426);
1446  lpd.push_back(-0.26792717880897);
1447  lpd.push_back(0.05248058141619);
1448  lpd.push_back(0.04143203796015);
1449  lpd.push_back(-0.01381067932005);
1450  hpd.push_back(-0.00000000000000);
1451  hpd.push_back(0.00000000000000);
1452  hpd.push_back(-0.00000000000000);
1453  hpd.push_back(0.00000000000000);
1454  hpd.push_back(-0.17677669529664);
1455  hpd.push_back(0.53033008588991);
1456  hpd.push_back(-0.53033008588991);
1457  hpd.push_back(0.17677669529664);
1458  hpd.push_back(-0.00000000000000);
1459  hpd.push_back(0.00000000000000);
1460  hpd.push_back(-0.00000000000000);
1461  hpd.push_back(0.00000000000000);
1462  flength = 12;
1463  break;
1464 
1465  case Biorthogonal_3_7:
1466  lpd.push_back(0.00302108610126);
1467  lpd.push_back(-0.00906325830378);
1468  lpd.push_back(-0.01683176542131);
1469  lpd.push_back(0.07466398507402);
1470  lpd.push_back(0.03133297870736);
1471  lpd.push_back(-0.30115912592284);
1472  lpd.push_back(-0.02649924094535);
1473  lpd.push_back(0.95164212189718);
1474  lpd.push_back(0.95164212189718);
1475  lpd.push_back(-0.02649924094535);
1476  lpd.push_back(-0.30115912592284);
1477  lpd.push_back(0.03133297870736);
1478  lpd.push_back(0.07466398507402);
1479  lpd.push_back(-0.01683176542131);
1480  lpd.push_back(-0.00906325830378);
1481  lpd.push_back(0.00302108610126);
1482  hpd.push_back(-0.00000000000000);
1483  hpd.push_back(0.00000000000000);
1484  hpd.push_back(-0.00000000000000);
1485  hpd.push_back(0.00000000000000);
1486  hpd.push_back(-0.00000000000000);
1487  hpd.push_back(0.00000000000000);
1488  hpd.push_back(-0.17677669529664);
1489  hpd.push_back(0.53033008588991);
1490  hpd.push_back(-0.53033008588991);
1491  hpd.push_back(0.17677669529664);
1492  hpd.push_back(-0.00000000000000);
1493  hpd.push_back(0.00000000000000);
1494  hpd.push_back(-0.00000000000000);
1495  hpd.push_back(0.00000000000000);
1496  hpd.push_back(-0.00000000000000);
1497  hpd.push_back(0.00000000000000);
1498  flength = 16;
1499  break;
1500 
1501  case Biorthogonal_3_9:
1502  lpd.push_back(-0.00067974437278);
1503  lpd.push_back(0.00203923311835);
1504  lpd.push_back(0.00506031921961);
1505  lpd.push_back(-0.02061891264111);
1506  lpd.push_back(-0.01411278793018);
1507  lpd.push_back(0.09913478249423);
1508  lpd.push_back(0.01230013626942);
1509  lpd.push_back(-0.32019196836078);
1510  lpd.push_back(0.00205002271157);
1511  lpd.push_back(0.94212570067821);
1512  lpd.push_back(0.94212570067821);
1513  lpd.push_back(0.00205002271157);
1514  lpd.push_back(-0.32019196836078);
1515  lpd.push_back(0.01230013626942);
1516  lpd.push_back(0.09913478249423);
1517  lpd.push_back(-0.01411278793018);
1518  lpd.push_back(-0.02061891264111);
1519  lpd.push_back(0.00506031921961);
1520  lpd.push_back(0.00203923311835);
1521  lpd.push_back(-0.00067974437278);
1522  hpd.push_back(-0.00000000000000);
1523  hpd.push_back(0.00000000000000);
1524  hpd.push_back(-0.00000000000000);
1525  hpd.push_back(0.00000000000000);
1526  hpd.push_back(-0.00000000000000);
1527  hpd.push_back(0.00000000000000);
1528  hpd.push_back(-0.00000000000000);
1529  hpd.push_back(0.00000000000000);
1530  hpd.push_back(-0.17677669529664);
1531  hpd.push_back(0.53033008588991);
1532  hpd.push_back(-0.53033008588991);
1533  hpd.push_back(0.17677669529664);
1534  hpd.push_back(-0.00000000000000);
1535  hpd.push_back(0.00000000000000);
1536  hpd.push_back(-0.00000000000000);
1537  hpd.push_back(0.00000000000000);
1538  hpd.push_back(-0.00000000000000);
1539  hpd.push_back(0.00000000000000);
1540  hpd.push_back(-0.00000000000000);
1541  hpd.push_back(0.00000000000000);
1542  flength = 20;
1543  break;
1544 
1545  case Biorthogonal_4_4:
1546  lpd.push_back(0.00000000000000);
1547  lpd.push_back(0.03782845550726);
1548  lpd.push_back(-0.02384946501956);
1549  lpd.push_back(-0.11062440441844);
1550  lpd.push_back(0.37740285561283);
1551  lpd.push_back(0.85269867900889);
1552  lpd.push_back(0.37740285561283);
1553  lpd.push_back(-0.11062440441844);
1554  lpd.push_back(-0.02384946501956);
1555  lpd.push_back(0.03782845550726);
1556  hpd.push_back(-0.00000000000000);
1557  hpd.push_back(-0.06453888262870);
1558  hpd.push_back(0.04068941760916);
1559  hpd.push_back(0.41809227322162);
1560  hpd.push_back(-0.78848561640558);
1561  hpd.push_back(0.41809227322162);
1562  hpd.push_back(0.04068941760916);
1563  hpd.push_back(-0.06453888262870);
1564  hpd.push_back(-0.00000000000000);
1565  hpd.push_back(0.00000000000000);
1566  flength = 10;
1567  break;
1568 
1569  case Biorthogonal_5_5:
1570  lpd.push_back(0.00000000000000);
1571  lpd.push_back(0.00000000000000);
1572  lpd.push_back(0.03968708834741);
1573  lpd.push_back(0.00794810863724);
1574  lpd.push_back(-0.05446378846824);
1575  lpd.push_back(0.34560528195603);
1576  lpd.push_back(0.73666018142821);
1577  lpd.push_back(0.34560528195603);
1578  lpd.push_back(-0.05446378846824);
1579  lpd.push_back(0.00794810863724);
1580  lpd.push_back(0.03968708834741);
1581  lpd.push_back(0.00000000000000);
1582  hpd.push_back(-0.01345670945912);
1583  hpd.push_back(-0.00269496688011);
1584  hpd.push_back(0.13670658466433);
1585  hpd.push_back(-0.09350469740094);
1586  hpd.push_back(-0.47680326579848);
1587  hpd.push_back(0.89950610974865);
1588  hpd.push_back(-0.47680326579848);
1589  hpd.push_back(-0.09350469740094);
1590  hpd.push_back(0.13670658466433);
1591  hpd.push_back(-0.00269496688011);
1592  hpd.push_back(-0.01345670945912);
1593  hpd.push_back(0.00000000000000);
1594  flength = 12;
1595  break;
1596 
1597  case Biorthogonal_6_8:
1598  lpd.push_back(0.00000000000000);
1599  lpd.push_back(0.00190883173648);
1600  lpd.push_back(-0.00191428612909);
1601  lpd.push_back(-0.01699063986760);
1602  lpd.push_back(0.01193456527973);
1603  lpd.push_back(0.04973290349094);
1604  lpd.push_back(-0.07726317316720);
1605  lpd.push_back(-0.09405920349574);
1606  lpd.push_back(0.42079628460983);
1607  lpd.push_back(0.82592299745840);
1608  lpd.push_back(0.42079628460983);
1609  lpd.push_back(-0.09405920349574);
1610  lpd.push_back(-0.07726317316720);
1611  lpd.push_back(0.04973290349094);
1612  lpd.push_back(0.01193456527973);
1613  lpd.push_back(-0.01699063986760);
1614  lpd.push_back(-0.00191428612909);
1615  lpd.push_back(0.00190883173648);
1616  hpd.push_back(0.00000000000000);
1617  hpd.push_back(-0.00000000000000);
1618  hpd.push_back(0.00000000000000);
1619  hpd.push_back(0.01442628250562);
1620  hpd.push_back(-0.01446750489679);
1621  hpd.push_back(-0.07872200106263);
1622  hpd.push_back(0.04036797903034);
1623  hpd.push_back(0.41784910915027);
1624  hpd.push_back(-0.75890772945365);
1625  hpd.push_back(0.41784910915027);
1626  hpd.push_back(0.04036797903034);
1627  hpd.push_back(-0.07872200106263);
1628  hpd.push_back(-0.01446750489679);
1629  hpd.push_back(0.01442628250562);
1630  hpd.push_back(0.00000000000000);
1631  hpd.push_back(-0.00000000000000);
1632  hpd.push_back(0.00000000000000);
1633  hpd.push_back(-0.00000000000000);
1634  flength = 18;
1635  break;
1636 
1637  case Meyer:
1638  lpd.push_back(0.00000000000000);
1639  lpd.push_back(-0.00000150974086);
1640  lpd.push_back(0.00000127876676);
1641  lpd.push_back(0.00000044958556);
1642  lpd.push_back(-0.00000209656887);
1643  lpd.push_back(0.00000172322355);
1644  lpd.push_back(0.00000069808228);
1645  lpd.push_back(-0.00000287940803);
1646  lpd.push_back(0.00000238314839);
1647  lpd.push_back(0.00000098251560);
1648  lpd.push_back(-0.00000421778919);
1649  lpd.push_back(0.00000335350154);
1650  lpd.push_back(0.00000167472186);
1651  lpd.push_back(-0.00000603450134);
1652  lpd.push_back(0.00000483755580);
1653  lpd.push_back(0.00000240228802);
1654  lpd.push_back(-0.00000955630985);
1655  lpd.push_back(0.00000721652769);
1656  lpd.push_back(0.00000484907830);
1657  lpd.push_back(-0.00001420692858);
1658  lpd.push_back(0.00001050391427);
1659  lpd.push_back(0.00000618758030);
1660  lpd.push_back(-0.00002443800585);
1661  lpd.push_back(0.00002010638769);
1662  lpd.push_back(0.00001499352360);
1663  lpd.push_back(-0.00004642876428);
1664  lpd.push_back(0.00003234131191);
1665  lpd.push_back(0.00003740966576);
1666  lpd.push_back(-0.00010277900508);
1667  lpd.push_back(0.00002446195684);
1668  lpd.push_back(0.00014971351539);
1669  lpd.push_back(-0.00007559287026);
1670  lpd.push_back(-0.00013991314822);
1671  lpd.push_back(-0.00009351289388);
1672  lpd.push_back(0.00016118981973);
1673  lpd.push_back(0.00085950021376);
1674  lpd.push_back(-0.00057818579527);
1675  lpd.push_back(-0.00270216873394);
1676  lpd.push_back(0.00219477533646);
1677  lpd.push_back(0.00604551059646);
1678  lpd.push_back(-0.00638672861855);
1679  lpd.push_back(-0.01104464190054);
1680  lpd.push_back(0.01525091315859);
1681  lpd.push_back(0.01740388821018);
1682  lpd.push_back(-0.03209406335451);
1683  lpd.push_back(-0.02432178395952);
1684  lpd.push_back(0.06366730088447);
1685  lpd.push_back(0.03062124394342);
1686  lpd.push_back(-0.13269661535886);
1687  lpd.push_back(-0.03504828739060);
1688  lpd.push_back(0.44409503076653);
1689  lpd.push_back(0.74375100490379);
1690  lpd.push_back(0.44409503076653);
1691  lpd.push_back(-0.03504828739060);
1692  lpd.push_back(-0.13269661535886);
1693  lpd.push_back(0.03062124394342);
1694  lpd.push_back(0.06366730088447);
1695  lpd.push_back(-0.02432178395952);
1696  lpd.push_back(-0.03209406335451);
1697  lpd.push_back(0.01740388821018);
1698  lpd.push_back(0.01525091315859);
1699  lpd.push_back(-0.01104464190054);
1700  lpd.push_back(-0.00638672861855);
1701  lpd.push_back(0.00604551059646);
1702  lpd.push_back(0.00219477533646);
1703  lpd.push_back(-0.00270216873394);
1704  lpd.push_back(-0.00057818579527);
1705  lpd.push_back(0.00085950021376);
1706  lpd.push_back(0.00016118981973);
1707  lpd.push_back(-0.00009351289388);
1708  lpd.push_back(-0.00013991314822);
1709  lpd.push_back(-0.00007559287026);
1710  lpd.push_back(0.00014971351539);
1711  lpd.push_back(0.00002446195684);
1712  lpd.push_back(-0.00010277900508);
1713  lpd.push_back(0.00003740966576);
1714  lpd.push_back(0.00003234131191);
1715  lpd.push_back(-0.00004642876428);
1716  lpd.push_back(0.00001499352360);
1717  lpd.push_back(0.00002010638769);
1718  lpd.push_back(-0.00002443800585);
1719  lpd.push_back(0.00000618758030);
1720  lpd.push_back(0.00001050391427);
1721  lpd.push_back(-0.00001420692858);
1722  lpd.push_back(0.00000484907830);
1723  lpd.push_back(0.00000721652769);
1724  lpd.push_back(-0.00000955630985);
1725  lpd.push_back(0.00000240228802);
1726  lpd.push_back(0.00000483755580);
1727  lpd.push_back(-0.00000603450134);
1728  lpd.push_back(0.00000167472186);
1729  lpd.push_back(0.00000335350154);
1730  lpd.push_back(-0.00000421778919);
1731  lpd.push_back(0.00000098251560);
1732  lpd.push_back(0.00000238314839);
1733  lpd.push_back(-0.00000287940803);
1734  lpd.push_back(0.00000069808228);
1735  lpd.push_back(0.00000172322355);
1736  lpd.push_back(-0.00000209656887);
1737  lpd.push_back(0.00000044958556);
1738  lpd.push_back(0.00000127876676);
1739  lpd.push_back(-0.00000150974086);
1740  hpd.push_back(0.00000150974086);
1741  hpd.push_back(0.00000127876676);
1742  hpd.push_back(-0.00000044958556);
1743  hpd.push_back(-0.00000209656887);
1744  hpd.push_back(-0.00000172322355);
1745  hpd.push_back(0.00000069808228);
1746  hpd.push_back(0.00000287940803);
1747  hpd.push_back(0.00000238314839);
1748  hpd.push_back(-0.00000098251560);
1749  hpd.push_back(-0.00000421778919);
1750  hpd.push_back(-0.00000335350154);
1751  hpd.push_back(0.00000167472186);
1752  hpd.push_back(0.00000603450134);
1753  hpd.push_back(0.00000483755580);
1754  hpd.push_back(-0.00000240228802);
1755  hpd.push_back(-0.00000955630985);
1756  hpd.push_back(-0.00000721652769);
1757  hpd.push_back(0.00000484907830);
1758  hpd.push_back(0.00001420692858);
1759  hpd.push_back(0.00001050391427);
1760  hpd.push_back(-0.00000618758030);
1761  hpd.push_back(-0.00002443800585);
1762  hpd.push_back(-0.00002010638769);
1763  hpd.push_back(0.00001499352360);
1764  hpd.push_back(0.00004642876428);
1765  hpd.push_back(0.00003234131191);
1766  hpd.push_back(-0.00003740966576);
1767  hpd.push_back(-0.00010277900508);
1768  hpd.push_back(-0.00002446195684);
1769  hpd.push_back(0.00014971351539);
1770  hpd.push_back(0.00007559287026);
1771  hpd.push_back(-0.00013991314822);
1772  hpd.push_back(0.00009351289388);
1773  hpd.push_back(0.00016118981973);
1774  hpd.push_back(-0.00085950021376);
1775  hpd.push_back(-0.00057818579527);
1776  hpd.push_back(0.00270216873394);
1777  hpd.push_back(0.00219477533646);
1778  hpd.push_back(-0.00604551059646);
1779  hpd.push_back(-0.00638672861855);
1780  hpd.push_back(0.01104464190054);
1781  hpd.push_back(0.01525091315859);
1782  hpd.push_back(-0.01740388821018);
1783  hpd.push_back(-0.03209406335451);
1784  hpd.push_back(0.02432178395952);
1785  hpd.push_back(0.06366730088447);
1786  hpd.push_back(-0.03062124394342);
1787  hpd.push_back(-0.13269661535886);
1788  hpd.push_back(0.03504828739060);
1789  hpd.push_back(0.44409503076653);
1790  hpd.push_back(-0.74375100490379);
1791  hpd.push_back(0.44409503076653);
1792  hpd.push_back(0.03504828739060);
1793  hpd.push_back(-0.13269661535886);
1794  hpd.push_back(-0.03062124394342);
1795  hpd.push_back(0.06366730088447);
1796  hpd.push_back(0.02432178395952);
1797  hpd.push_back(-0.03209406335451);
1798  hpd.push_back(-0.01740388821018);
1799  hpd.push_back(0.01525091315859);
1800  hpd.push_back(0.01104464190054);
1801  hpd.push_back(-0.00638672861855);
1802  hpd.push_back(-0.00604551059646);
1803  hpd.push_back(0.00219477533646);
1804  hpd.push_back(0.00270216873394);
1805  hpd.push_back(-0.00057818579527);
1806  hpd.push_back(-0.00085950021376);
1807  hpd.push_back(0.00016118981973);
1808  hpd.push_back(0.00009351289388);
1809  hpd.push_back(-0.00013991314822);
1810  hpd.push_back(0.00007559287026);
1811  hpd.push_back(0.00014971351539);
1812  hpd.push_back(-0.00002446195684);
1813  hpd.push_back(-0.00010277900508);
1814  hpd.push_back(-0.00003740966576);
1815  hpd.push_back(0.00003234131191);
1816  hpd.push_back(0.00004642876428);
1817  hpd.push_back(0.00001499352360);
1818  hpd.push_back(-0.00002010638769);
1819  hpd.push_back(-0.00002443800585);
1820  hpd.push_back(-0.00000618758030);
1821  hpd.push_back(0.00001050391427);
1822  hpd.push_back(0.00001420692858);
1823  hpd.push_back(0.00000484907830);
1824  hpd.push_back(-0.00000721652769);
1825  hpd.push_back(-0.00000955630985);
1826  hpd.push_back(-0.00000240228802);
1827  hpd.push_back(0.00000483755580);
1828  hpd.push_back(0.00000603450134);
1829  hpd.push_back(0.00000167472186);
1830  hpd.push_back(-0.00000335350154);
1831  hpd.push_back(-0.00000421778919);
1832  hpd.push_back(-0.00000098251560);
1833  hpd.push_back(0.00000238314839);
1834  hpd.push_back(0.00000287940803);
1835  hpd.push_back(0.00000069808228);
1836  hpd.push_back(-0.00000172322355);
1837  hpd.push_back(-0.00000209656887);
1838  hpd.push_back(-0.00000044958556);
1839  hpd.push_back(0.00000127876676);
1840  hpd.push_back(0.00000150974086);
1841  hpd.push_back(0.00000000000000);
1842  flength = 102;
1843  break;
1844  }
1845 
1846  // avoid compiler warning for unused value if assert is not compiled in:
1847  (void)flength;
1848 
1849  assert(flength == int(lpd.size()));
1850  assert(flength == int(hpd.size()));
1851 }
1852 
static std::string getWaveletName(Type)
Definition: Wavelet.cpp:21
static void createDecompositionFilters(Type, std::vector< double > &lpd, std::vector< double > &hpd)
Definition: Wavelet.cpp:73